SSブログ

レジスタのビットを同じ値で埋める [Verilog]

複数ビットのレジスタを、全て同じ値で埋める方法をまとめておきます。

これもいろいろ好みがあるので、好きな書き方を使えばいいと思いますが、SystemVerilogではシンプルに記述できます。

例えば、64ビットのレジスタの全ビットに同じ値を代入する書き方です。

reg [63:0] data;

Verilog
data = 0;
data = 'bz;
data = 'bx;

// 以下は全てのビットに'1'を代入
data = 64'hFFFFFFFF_FFFFFFFF;
data = {64{1'b1}};
data = ~0;
data = -1;


SystemVerilog
data = '0;
data = '1;
data = 'z;
data = 'x;

nice!(0)  コメント(0)  トラックバック(0) 
共通テーマ:仕事

nice! 0

コメント 0

コメントを書く

お名前:[必須]
URL:
コメント:
画像認証:
下の画像に表示されている文字を入力してください。

トラックバック 0

トラックバックの受付は締め切りました

この広告は前回の更新から一定期間経過したブログに表示されています。更新すると自動で解除されます。